戻る
「早戻しボタン」を押すと検索画面に戻ります。

今後説明を表示しない

[OK]

コーパス検索結果 (1語後でソート)

通し番号をクリックするとPubMedの該当ページを表示します
1 th single-layer graphene, formed by chemical vapor deposition.
2 esized by microwave plasma enhanced chemical vapor deposition.
3 synthesized from these templates by chemical vapor deposition.
4 rea graphene films prepared through chemical vapor deposition.
5 0.05 eV) than similar films made by chemical vapor deposition.
6  substrates using a low temperature chemical vapor deposition.
7 omplex colloids with glancing angle physical vapor deposition.
8 s multinary compounds compared with physical vapor deposition.
9 ell hybrid foam is fabricated using chemical vapor deposition.
10  as a higher-quality alternative to chemical vapor deposition.
11 thacin (IMC) were prepared by using physical vapor deposition.
12  (CNTs) in water-assisted catalytic chemical vapor deposition.
13 ling pine trees were synthesized by chemical vapor deposition.
14 ilm conformality in low temperature chemical vapor deposition.
15 epilayers prepared by metal-organic chemical vapor deposition.
16 axially grown on MoS2 monolayer via chemical vapor deposition.
17 ding effect commonly encountered in chemical vapor deposition.
18 plying the concept in photoassisted physical vapor deposition.
19 f p-type Sb2Te3 nanowires, grown by chemical vapor deposition.
20 CNTs are grown on metal wires after chemical vapor deposition.
21 on was performed by plasma-enhanced chemical vapor deposition.
22  and single layer graphene grown by chemical vapor deposition.
23 oS2 grown on silicon oxide by using chemical vapor deposition.
24 ar cell, deposited by high-pressure chemical vapor deposition.
25 W1-x S2y Se2(1-y) is reported using chemical vapor deposition.
26 g graphene on 4H-SiC(0001) grown by chemical vapor deposition.
27  by a novel method of super-cooling chemical-vapor-deposition.
28 enecarboxylate) via low-temperature chemical vapor deposition (50 degrees C) is reported.
29 d in a single-step aerosol-assisted chemical vapor deposition (AACVD) process.
30 50 degrees C using aerosol-assisted chemical vapor deposition (AACVD) with pyridine as the solvent.
31                  By a novel in situ chemical vapor deposition, activated N-doped hollow carbon-nanotu
32  combining particle lithography with organic vapor deposition and electroless deposition of iron oxid
33 a arc discharge, laser ablation and chemical vapor deposition and functionalizing carbon nanotubes th
34                                     Chemical vapor deposition and growth dynamics of highly anisotrop
35 0-250 nm of PSO via plasma-enhanced chemical vapor deposition and then functionalized with either oct
36  signal by growing graphene through chemical vapor deposition and, second, to control the immobilizat
37 ully controlled Raman spectroscopy, physical vapor deposition, and lift-off processes.
38 nesses, grown in a microwave plasma chemical vapor deposition apparatus.
39 raphene-catalyst interaction during chemical vapor deposition are investigated using in situ, time- a
40 s, and diamond films fabricated via chemical vapor deposition are the most popular organic bioelectro
41 area monolayer graphene produced by chemical vapor deposition are used for label-free electrical dete
42 yed nanocatalysts are generated via chemical vapor deposition-assisted facile one-pot synthesis.
43  Ge(1-x)Sn(x) alloys are created by chemical vapor deposition at 350 degrees C on Si(100).
44 ion of Ti(NMe(2))(4) with SiH(4) in chemical vapor deposition at 450 degrees C yielded thin Ti-Si-N t
45 of monolayer and multilayer ReS2 by chemical vapor deposition at a low temperature of 450 degrees C i
46  Under ultrahigh-vacuum conditions, physical vapor deposition at approximately the same substrate tem
47 faces of self-assembled monolayers (SAMs) by vapor deposition at cryogenic temperatures (approximatel
48 d, grown using atmospheric pressure chemical vapor deposition, at 450 and 600 degrees C, from TiCl(4)
49 nitride, and boride are grown using chemical vapor deposition by heating a tantalum-copper bilayer wi
50                   Here we show that physical vapor deposition can substantially improve the photostab
51  combinatorial atmospheric pressure chemical vapor deposition (cAPCVD) can be used as a synthetic too
52               The approach involves chemical vapor deposition, catalytic particle size control by sub
53 d growth of graphene under a plasma chemical vapor deposition condition.
54                                     Chemical vapor deposition creates a continuous graphene coating p
55 phene devices synthesized from both chemical vapor deposition (CVD) and epitaxial means is compared u
56  carbon nanotubes (CNTs) by thermal chemical vapor deposition (CVD) and graphitization of solid amorp
57 on surface-passivated Si wafers via chemical vapor deposition (CVD) and microstructured using inducti
58 ride (h-BN) films are prepared from chemical vapor deposition (CVD) and readily transferred onto poly
59 - and n-dopants were synthesized by chemical vapor deposition (CVD) and were used to construct comple
60 graphene films produced by means of chemical vapor deposition (CVD) are polycrystalline and thus cont
61 um disulfide (MoS2 ) synthesized by chemical vapor deposition (CVD) are studied using a local probe m
62 olite-like carbons are prepared via chemical vapor deposition (CVD) at 800 or 850 degrees C using zeo
63  Single crystal diamond produced by chemical vapor deposition (CVD) at very high growth rates (up to
64  immobilized onto biomaterials by a chemical vapor deposition (CVD) coating strategy.
65 e3 have been formed using selective chemical vapor deposition (CVD) from a single source precursor.
66       In most applications based on chemical vapor deposition (CVD) graphene, the transfer from the g
67  polymer" parylene-C, to conducting chemical vapor deposition (CVD) grown graphene.
68                  We demonstrate the chemical vapor deposition (CVD) growth of 2-lobed symmetrical cur
69 ations of the mechanisms underlying chemical vapor deposition (CVD) growth of fibrous carbon nanostru
70                 Here, we report the chemical vapor deposition (CVD) growth of large-area (>2 cm(2)) p
71 ect control over the product during chemical vapor deposition (CVD) growth of SWNT is desirable, and
72 llic Mo clusters grown by Mo(CO)(6) chemical vapor deposition (CVD) have a constant size independent
73 re grown on a sharp tungsten tip by chemical vapor deposition (CVD) in a stepwise manner within a sin
74 ic carbon nanoelectrode obtained by chemical vapor deposition (CVD) inside a quartz nanopipette.
75                                     Chemical vapor deposition (CVD) is a promising method for their c
76 s temperatures in a plasma-enhanced chemical vapor deposition (CVD) is demonstrated using multiphase,
77 gradually shrinking basal planes by chemical vapor deposition (CVD) is demonstrated.
78                Graphene produced by chemical vapor deposition (CVD) is polycrystalline, and scatterin
79 ene film by molten copper-catalyzed chemical vapor deposition (CVD) is reported.
80 single-layer WS2 film by a two-step chemical vapor deposition (CVD) method followed by a laser thinni
81                       We describe a chemical vapor deposition (CVD) method for the surface modificati
82              Especially, the facile chemical vapor deposition (CVD) method has enabled morphological
83 )B) nanowires were synthesized by a chemical vapor deposition (CVD) method on either silicon dioxide
84 catalytically activated silica by a chemical vapor deposition (CVD) method using hexane as the carbon
85 matic molecules as the seeds in the chemical vapor deposition (CVD) method.
86               In this work, ethanol-chemical vapor deposition (CVD) of a grown p-type semiconducting
87              The method is based on chemical vapor deposition (CVD) of a photodefinable coating, poly
88 engineered spacer layer prepared by chemical vapor deposition (CVD) of functional polymers.
89 ngle-wall and multi-wall CNTs using chemical vapor deposition (CVD) of methane without the presence o
90  forming hydrophobic barriers using chemical vapor deposition (CVD) of trichlorosilane (TCS) on a chr
91 nolayer WS2 samples synthesized via chemical vapor deposition (CVD) on a variety of common substrates
92 films are prepared predominantly by chemical vapor deposition (CVD) on a variety of substrates.
93  tube (CT) reactor for roll-to-roll chemical vapor deposition (CVD) on flexible substrates, and its a
94 ubstrate-scale growth of MoS2 using chemical vapor deposition (CVD) on non-birefringent thermal oxide
95 phene nanoribbon (GNR) formation by chemical vapor deposition (CVD) on top of Au(111) surfaces.
96 f single layers can be done also by chemical vapor deposition (CVD) or via reduction of silicon carbi
97                                     Chemical vapor deposition (CVD) polymerization directly synthesiz
98                Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad a
99                   For this purpose, chemical vapor deposition (CVD) polymerization is used to functio
100                                 The chemical vapor deposition (CVD) polymerization of metalloporphyri
101 -p-xylylene), which are prepared by chemical vapor deposition (CVD) polymerization of the correspondi
102                                     Chemical vapor deposition (CVD) polymerization utilizes the deliv
103 oly-p-xylylene coatings prepared by chemical vapor deposition (CVD) polymerization, for surface plasm
104 by a unique, single-step, catalytic chemical vapor deposition (CVD) process consisting of dissolved c
105  we report an efficient "bottom-up" chemical vapor deposition (CVD) process for inexpensive and high-
106 e we report a controllable two-step chemical vapor deposition (CVD) process for lateral and vertical
107 owed by carbon deposition through a chemical vapor deposition (CVD) process with methane as a carbon
108 iothermic reduction with subsequent chemical vapor deposition (CVD) process.
109  Graphene growth on metal films via chemical vapor deposition (CVD) represents one of the most promis
110  macroporous graphene foam grown by chemical vapor deposition (CVD) served as the scaffold of the fre
111  carbon nanotubes directly from the chemical vapor deposition (CVD) synthesis zone of a furnace using
112 using the probe in conjunction with chemical vapor deposition (CVD) techniques.
113     A film of CNTs was deposited by chemical vapor deposition (CVD) to form the stationary phase in t
114 graphene synthesized using scalable chemical vapor deposition (CVD) to polycarbonate track-etched sup
115 t growth of carbon nanotube tips by chemical vapor deposition (CVD) using ethylene and iron catalysts
116                 Samples prepared by chemical vapor deposition (CVD) using pyridine on copper exhibit
117 nert CNT arrays were synthesized by chemical vapor deposition (CVD) using thin films of Fe and Co as
118          Ru particles were grown by chemical vapor deposition (CVD) via a Ru(3)(CO)(12) precursor on
119 kes of few-layered structures using chemical vapor deposition (CVD) wherein the top layers are relati
120 ojunction perovskite solar cells by chemical vapor deposition (CVD), with a solar power conversion ef
121 ressure, by direct deposition or by chemical vapor deposition (CVD), without the use of hydrogen or a
122 monly used standard copper foils in chemical vapor deposition (CVD).
123 rected nanotube growth method using chemical vapor deposition (CVD).
124 aman active modes in SWNTs grown by chemical vapor deposition (CVD).
125 diameter), using microwave-assisted chemical vapor deposition (CVD).
126  nanowires prepared by Au-catalyzed chemical vapor deposition (CVD).
127 g applications of graphene grown by chemical vapor deposition (CVD).
128 e by direct current plasma enhanced chemical vapor deposition (DC-PECVD) method.
129 ayer molybdenum diselenide grown by chemical vapor deposition depending on the stacking configuration
130 mily of glasses rapidly obtained by physical vapor deposition directly into the solid state, endowed
131                 Electrostatic Spray-Assisted Vapor Deposition (ESAVD) is a non-vacuum and cost-effect
132 tion of metallic nanotubes grown by chemical vapor deposition exhibits strongly gate voltage-dependen
133 , through direct synthesis from solution and vapor deposition experiments under conditions consistent
134               The floating catalyst chemical vapor deposition (FC-CVD) process permits macro-scale as
135 ere deposited by microwave-assisted chemical vapor deposition, for 1-2 h, using a 0.5% CH4/H2 source
136 posited as a thin film by catalytic chemical vapor deposition from either CO or C2H4 as the precursor
137 n in ZnO NWs grown by rapid thermal chemical vapor deposition, from electron paramagnetic resonance s
138 n up directions for applications of chemical vapor deposition graphene on flexible substrates.
139 e direct transfer via lamination of chemical vapor deposition graphene onto different flexible substr
140 ed on oxidized silicon wafers using chemical vapor deposition grown carbon nanotubes that were functi
141 Here we demonstrate fully-suspended chemical vapor deposition grown graphene microribbon arrays that
142                                     Chemical vapor deposition grown multilayer graphene was transferr
143 owever, irreversible degradation of chemical vapor deposition-grown monolayer TMDs via oxidation unde
144 s are observed and characterized in chemical vapor deposition-grown sheets of hexagonal boron nitride
145 e bilayer grain boundaries (GBs) in chemical-vapor-deposition-grown large-area graphene are identifie
146                                     Chemical vapor deposition growth of 1T' ReS2x Se2(1-x) alloy mono
147                                 The chemical vapor deposition growth of unusual arrangements of singl
148 he temperature-swing stage in the sequential vapor deposition growth process allowed us to cool the e
149 lm synthesized from C2H4-CVD (CVD = chemical vapor deposition) had higher CNT density and thus was a
150                                              Vapor deposition has been used to create glassy material
151 ted using hyperbaric-pressure laser chemical vapor deposition (HP-LCVD).
152  methacrylate) (PPMA) via initiated chemical vapor deposition (iCVD) and poly(allylamine) (PAAm) via
153 demonstrated by employing initiated chemical vapor deposition (iCVD) for polymerization of the resist
154 n a side were grown by low-pressure chemical vapor deposition in copper-foil enclosures using methane
155      It may form, e.g., by water freezing or vapor deposition in the Earth's atmosphere or in extrate
156 that formed in presolar supernovae by carbon vapor deposition, in asteroidal impacts and meteorite cr
157                                     Physical vapor deposition is commonly used to prepare organic gla
158 ers (CNFs) grown by plasma enhanced chemical vapor deposition is found to be effective for the simult
159 eterostructure via direct growth by chemical vapor deposition is reported.
160 e-crystal domains on Cu foils using chemical vapor deposition is reported.
161                   Graphene grown by chemical vapor deposition is transferred by a very simple, yet ef
162                                     Chemical vapor deposition is used to grow single layer graphene o
163                           Initiated chemical vapor deposition is used to synthesize a novel alternati
164              A variant of initiated chemical vapor deposition is used to synthesize a thin film that
165                           Initiated chemical vapor deposition is used to synthesize a thin film that
166 he bottom up, called barrier-guided chemical vapor deposition, is introduced.
167 lm on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method.
168 akes were derived from low pressure chemical vapor deposition (LPCVD) method.
169 e electrodes) grown by low pressure chemical vapor deposition (LPCVD) system with VLS procedure to el
170                       High pressure chemical vapor deposition may open a new way to low cost depositi
171      An oxygen-assisted hydrocarbon chemical vapor deposition method is developed to afford large-sca
172                            A facile chemical vapor deposition method to prepare single-crystalline VS
173  were first synthesized by a simple chemical vapor deposition method using Na as the dopant source.
174                                          The vapor deposition method was designed to overcome current
175                   The metallorganic chemical vapor deposition method was successfully used to synthes
176 l tellurides are synthesized by the chemical vapor deposition method.
177 teral fusion into wider AGNRs, by a chemical vapor deposition method.
178         Herein, we develop a simple chemical-vapor-deposition method to fabricate graphene-isolated-A
179 ilicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMO
180 cal properties similar to the films grown by vapor deposition methods.
181 1-x is synthesized by metal organic chemical vapor deposition (MOCVD) for solar hydrogen production.
182 rmally stable cadmium metal-organic chemical vapor deposition (MOCVD) precursors have been synthesize
183 nventional solid zinc metal-organic chemical vapor deposition (MOCVD) precursors.
184 s at 410 degrees C by metal-organic chemical vapor deposition (MOCVD), and their phase structure, mic
185  a standard QCM using metal-organic chemical-vapor deposition (MOCVD).
186 or printing, specifically oxidative chemical vapor deposition (oCVD), is demonstrated.
187 ranes (CNMs) were prepared by doing chemical vapor deposition of carbon within the pores of a micropo
188 Si/SiO(2) surfaces is reported from chemical vapor deposition of Cd[(TeP(i)Pr(2))(2)N](2).
189 f the template membrane, and then sequential vapor deposition of Cr, SiO(2), Cr, Au, and Pt on one si
190 pens up a new avenue for controlled chemical vapor deposition of crystals through resonant vibrationa
191                     Here, we report physical vapor deposition of Cu thin films on large-format ( appr
192                                     Chemical vapor deposition of germanium onto the silicon (001) sur
193 ene can grow on metal substrates by chemical vapor deposition of hydrocarbons.
194 of small-molecules, plasma enhanced chemical vapor deposition of inorganic functional thin films and
195  direct laser writing and selective physical vapor deposition of magnetic materials.
196 graphene replicas, fabricated using chemical vapor deposition of methane.
197 rgy electron irradiation during the chemical vapor deposition of model Ziegler-Natta catalysts can be
198 ses and carbon surfaces prepared by chemical vapor deposition of organic compounds on porous zirconia
199 e general and should facilitate the chemical vapor deposition of other oxide and nitride materials.
200 e (PbS) nanowire "pine trees" using chemical vapor deposition of PbCl(2) and S precursors and systema
201 ostructure can modulate the rate of chemical vapor deposition of SiO2 and TiO2 with nanometer-scale s
202                 The method combines physical vapor deposition of small-molecules, plasma enhanced che
203 2-x)) with widths down to 10 nm via chemical vapor deposition of the single-source precursor Mn(CO)(5
204  were produced via aerosol-assisted chemical vapor deposition of titanium ethoxide and dopant concent
205 on the surface of H:Si through a sequence of vapor deposition of titanium tetra(tert-butoxide) (1) an
206 n synthesized as precursors for the chemical vapor deposition of WN(x)C(y), a material of interest fo
207 ar self-assembly that occurs during physical vapor depositions of titanium (Ti) onto specifically con
208 nction fibers made by high pressure chemical vapor deposition offer new opportunities in textile phot
209 m was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nan
210 lity single crystals of graphene by chemical vapor deposition on copper (Cu) has not always achieved
211 fer of monolayer graphene, grown by chemical vapor deposition on copper foil, to fibers commonly used
212       Indeed, growth of the BL-ice I through vapor deposition on graphene/Pt(111) substrate has been
213 r shock freezing of the aqueous solutions or vapor deposition on ice grains, exhibited unequivocal ba
214               Growth of graphene by chemical vapor deposition on metal supports has become a promisin
215  of uniform Ge nanowires (GeNWs) by chemical vapor deposition on preformed, monodispersed seed partic
216 ecylacrylate) chains with initiated chemical vapor deposition on silicon substrates.
217 ock-freezing of DPE aqueous solutions or DPE vapor-deposition on pure ice grains, was studied in the
218 olayers of 1-halohexanes were formed through vapor deposition onto graphite surfaces in ultrahigh vac
219 cated by the growth of BDD films by chemical vapor deposition onto sharpened tungsten wires.
220                  Semiconductors deposited by vapor deposition onto the crystalline OTS SAM grow in a
221 r electrode using a plasma-enhanced chemical vapor deposition (PECVD) method and function as the sens
222  was treated with a plasma-enhanced chemical vapor deposition (PECVD) of perfluorohexane creating a h
223               Using plasma-enhanced chemical vapor deposition (PECVD) process at low temperature, the
224 hotolithography and plasma-enhanced chemical vapor deposition (PECVD) techniques, followed by subsequ
225  often deposited by plasma-enhanced chemical vapor deposition (PECVD), currently attract a great deal
226 ous Si deposited by plasma-enhanced chemical vapor deposition (PECVD).
227 n, we demonstrate the usefulness of chemical vapor deposition polymerization for surface modification
228 l groups on silica surfaces through a simple vapor deposition process employing different ratios of t
229                     A facile vacuum-assisted vapor deposition process has been developed to control t
230 anotubes (CNTs) via a novel ethanol chemical vapor deposition process is presented.
231  the infrared range by an efficient chemical vapor deposition process.
232 ass substrates by a straightforward chemical vapor deposition process.
233 s been synthesized using a one-step chemical vapor deposition process.
234 osition) and MOCVD (= metal-organic chemical vapor deposition) processes in materials science, e.g. f
235                                     Physical vapor deposition (PVD) and electrodeposition were used f
236                                 We find that vapor deposition results in growth of stacking disordere
237 hemically deposited Au for a long time or by vapor deposition, shifted the stripping potential more p
238 , and large graphene films grown by chemical vapor deposition showed p-type doping accompanied by a c
239 al efficient when compared with conventional vapor deposition since the material is directed to the p
240 ility of current techniques such as chemical vapor deposition, spray and dip coating, and vacuum filt
241 islands of MoS2 grown via a refined chemical vapor deposition synthesis technique.
242 ibution, DC magnetron sputtering, a physical vapor deposition technique, is applied to the preparatio
243 trated employing a microwave plasma chemical vapor deposition technique.
244 ons of silicon nitride diatomics in chemical vapor deposition techniques and interstellar environment
245 iamond grown using microwave plasma chemical vapor deposition techniques is found to be ideal as the
246                                              Vapor deposition techniques were utilized to synthesize
247 eparation of metallic nanorods from physical vapor deposition through self-organized seeds and experi
248 rs were grown using plasma enhanced chemical vapor deposition to fabricate nanoelectrode arrays in a
249 ops a new growth strategy employing chemical vapor deposition to grow monolayer 2D alloys of Re-doped
250 d previously by electrically-heated chemical vapor deposition under vacuum conditions were relatively
251                   Floating catalyst chemical vapor deposition uniquely generates aligned carbon nanot
252 on undoped Si by microwave-assisted chemical vapor deposition using a 4-h growth with a 0.5% CH4/H2 s
253  means of microwave plasma-assisted chemical vapor deposition using in-situ-evaporated Fe catalysts.
254 centimeters on copper substrates by chemical vapor deposition using methane.
255 morphology of amorphous solid water grown by vapor deposition was found to depend strongly on the ang
256 s and pressures compared to thermal chemical vapor deposition where [111]-directed Si NWs are predomi
257 WNTs grown on SiO2/Si substrates by chemical vapor deposition with and without metal contacts.
258 rine doped tin oxide (FTO) films by chemical vapor deposition with inclusions of different additives
259 oil substrates using rapid atmospheric flame vapor deposition without any chamber or walls.
260 rystal iron germanium nanowires via chemical vapor deposition without the assistance of any catalysts
261 NG) sheets via atmospheric-pressure chemical vapor deposition, yielding a unique N-doping site compos

WebLSDに未収録の専門用語(用法)は "新規対訳" から投稿できます。
 
Page Top